切换到宽版

中国黑防联盟

查看: 78|回复: 13

[智能手机] Error (10500): VHDL syntax error at KONGZHI3NIS.vhd(6) near text "FORT"; expecting "is"这什么意思?

[复制链接]
发表于 2024-3-15 06:55:55 | 显示全部楼层 |阅读模式
1黑币
Error (10500): VHDL syntax error at KONGZHI3NIS.vhd(6) near text "FORT"; expecting "is"这什么意思?

永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-3-15 06:56:03 | 显示全部楼层
<div class="content-rich-box rich-text-" ><div class="law-answer-wrapper-highlight">第5行和第六行的定义格式出问题了,需要修改一下第6行如下:
IS PORT(RESET:IN STD_LOGIC;
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-3-17 16:50:36 | 显示全部楼层
哥顶的不是帖子,是寂寞!
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-3-30 05:57:46 | 显示全部楼层
永远支持黑防联盟
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-4-5 22:17:39 | 显示全部楼层
哥顶的不是帖子,是寂寞!
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-4-13 13:34:21 | 显示全部楼层
感谢热心楼主分享,Mark一下
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-4-21 06:26:36 | 显示全部楼层
看帖看完了至少要顶一下,还可以加入到淘帖哦!
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-4-30 05:11:46 | 显示全部楼层
这个是好东东,收藏备用
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-5-3 06:52:14 | 显示全部楼层
用心讨论,共获提升!
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

发表于 2024-5-4 10:52:51 | 显示全部楼层
跟我熟的人,请自动忽略此条回复。
永远支持中国黑防联盟论坛:www.vcs6.com
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

手机版|小黑屋|网站地图|( 蜀ICP备2020029785号 )

快速回复 返回顶部 返回列表